ГЛАВНАЯ             ФОРУМ             КОНТАКТЫ             RSS             20-YEARS ARCHIVE ПРОФИЛЬ
 
Логин:  
Пароль:  
 Чужой ПК
    Регистрация
    Вспомнить пароль

Aldec Active-HDL v8.1.1864 Software
 
Aldec Active-HDL v8.1.1864
 
Пакет Active-HDL представляет собой полностью интегрированную среду разработки цифровых устройств на основеве текстовых HDL описаний. Система проектирования Active-HDL является сегодня ведущей средой проектирования для создания проектов и их моделирования для всех семейств программируемых интегральных схем ПЛИС, обеспечивая гибкость подхода и предоставляя развитые функции поддержки наиболее сложных современных проектов. Среда проектирования Active-HDL дает разработчикам независимость в использовании других средств проектирования из единого, полностью интегрированного окружения. Тесная интеграция всех приложений обеспечивает абсолютный контроль над проектом от спецификации на всем пути к его физической реализации. Active-HDL также имеет интерфейсы со всеми ведущими программными продуктами, предоставляя разработчикам свободу в использовании тех средств проектирования, которые наиболее полно отвечают требованиям каждого конкретного проекта.

Aldec Active-HDL v8.1.1864


Система Active-HDL структурно построена вокруг своего блока управления маршрутом проектирования, который позволяет пользователям легко получать доступ к средствам проектирования и библиотекам, которые они используют в проекте. Active-HDL также поставляется со всеми библиотеками производителей ПЛИС, которые уже прекомпилированы и готовы к использованию в проектах. Блок управления проектом Active-HDL позволяет разработчику выполнять все модификации и операции над проектом из единого окружения; такая интеграция дает лучший контроль над процессом проектирования и сберегает время, устраняя необходимость в запуске множества оконных интерфейсов и процессов.

Aldec Active-HDL v8.1.1864


Маршрут проектирования компании Aldec не зависит от производителя ПЛИС и настраивается на любые комбинации средств логического синтеза и топологический реализации ПЛИС. Разработчик цифровых систем может делать проекты на ПЛИС любого производителя на рынке из единой интегрированной среды.

Разработчики могут одновременно открывать несколько проектов и интегрировать их в один супер-проект. Мультипроектная рабочая область предоставляет среду проектирования, позволяющую пользователям управлять всеми загруженными проектами, переключаться между ними, редактировать их ресурсы и конфигурировать их независимо друг от друга. Все модули могут разрабатываться отдельно друг от друга и затем интегрироваться вместе как один проект верхнего уровня.

Увеличение размеров проектов вынуждает многих разработчиков ПЛИС использовать методы групповой разработки при проектировании. Active-HDL предоставляет средства повышения производительности, например такие, как средство управления заданиями для задач, занимающих большое время выполнения, таких как моделирование, логических синтез и топологическая реализация. Каждая задача может быть назначена на удаленный сервер или кластер других компьютеров для выполнения соответствующего задания, таким образом высвобождая компьютер разработчика для выполнения других задач. Система Active-HDL также предоставляет интерфейс к более чем 15 наиболее популярным системам управления версиями.

Система управления проектами обеспечивает быстрое и эффективное управление всеми ресурсами проектов. Разработчики могут использовать ее для:
- Добавления, удаления, просмотра, модификации или выполнения других операций над файлами ресурсов проекта;
- Просмотра содержимого рабочей библиотеки, библиотеки результатов логического синтеза и библиотеки временных параметров текущего проекта;
- Просмотра сконструированной структуры моделируемого проектного модуля;
- Просмотра объектов, определенных внутри отдельных областей моделируемого проектного модуля.

Система управления библиотеками предоставляет эффективное управление всеми библиотеками в среде проектирования Active-HDL. Пользователи могут выполнять следующие операции над библиотеками и их содержимым:
- Присоединение, отсоединение и удаление библиотек;
- Редактирование логических имен библиотек;
- Сжатие и освобождение библиотек;
- Просмотр содержимого библиотек;
- Просмотр исходных файлов определенных библиотечных модулей;
- Удаление определенных библиотечных модулей;
- Поиск проектных модулей в библиотеках.

Разработчики цифровых систем имеют доступ к наиболее полно протестированным и проверенным IP- блокам в промышленности. Они могут использовать генератор IP-блоков для создания требуемых моделей, таких как:
- Арифметические функции;
- Последовательностная логика;
- Блоки памяти;
- Фильтры;
- Конверторы кодов;
- Элементы для построения тестов;
- Промышленные приложения;
- Коммуникационные приложения.

Трассировка всех сигналов позволяет разработчикам выполнять кросс-отладку (зондирование) между временными диаграммами и блок-диаграммами. Такая трассировка позволяет сделать обратную аннотацию проекта и дает прямой доступ как к текстовому, так и графическому представлению проекта. Щелчок мышью на любом сообщении об ошибке или предупреждающем сообщении адресует разработчика непосредственно на соответствующую строку исходного кода.

Идентифицирует те части проекта, которые не исполнялись во время прогона теста. Это средство дает возможность инженеру легко определить, какие части теста требуют доработки. Средства анализа тестового покрытия компании Aldec интегрированы в ядро моделирования и поддерживают функции покрытия по строкам кода, по переключениям и интегральное покрытие на множестве тестов.

Система Active-HDL предоставляет интерфейс и возможность совместного моделирования поведенческих моделей на языках описания аппаратуры и блоков цифровой обработки сигналов в единой среде математического представления моделей высокого уровня. Прямой интерфейс с системой Simulink компании Mathworks автоматизирует процесс установки для выполнения совместного моделирования с Active-HDL.

Система Active-HDL может использоваться для автоматической генерации тестов из графических временных диаграмм или диаграмм автоматов конечных состояний. Генератор тестов руководит последовательностью действий инженера в диалоговом режиме и создает шаблон теста либо для отдельного процесса, либо на основе IEEE Waves. Один и тот же тест может использоваться на любом уровне абстракций в процессе проектирования (поведенческом, регистровых передач или временном).

Система Active-HDL полностью совместима с мультиплатформенной системой моделирования Riviera компании Aldec. Использование системы Riviera дает возможность Active-HDL производить моделирование в среде многомашинных серверных систем и на других поддерживаемых платформах операционных систем, включая Linux и Unix.
 
    Читать статью дальше  (комментариев - 3)


ПОИСК ПО САЙТУ

Что ищем:
Расширенный поиск

КАЛЕНДАРЬ
 
«    Март 2024    »
ПнВтСрЧтПтСбВс
 123
45678910
11121314151617
18192021222324
25262728293031
 

ОБЛАКО ТЭГОВ
 
 

НАВИГАЦИЯ
 
 
НАШ ОПРОС
 
 

DONATE LAVteam
 
bitcoin

Donate.
 

ДРУЗЬЯ
 
BASARUNET.ORG SOFT
 

СКИН
 
 


LAVteam: 1999-2023